登录站点

用户名

密码

EDA基础知识简述,给新手参考

已有 455 次阅读  2011-12-06 14:01   标签class  设计  基础知识  title  而且 
EDA基础知识简述,给新手参考
 
 
1、EDA技术伴随着计算机、集成电路、电子系统设计的发展,经历了CAD、CAE、EDA三个发展阶段。

2、EDA工具以逻辑模拟、定时分析、故障仿真、自动布局/布线为核心,重点解决电路设计没有完成之前的功能检测问题。

3、EDA工具不仅具有电子系统设计的能力,而且能提供独立于工艺和厂家的系统级设计能力,具有高级抽象的设计构思手段。

4、EDA以大规模可编程逻辑器件为设计的载体,以硬件描述语言(HDL)为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件(MAX+PLUS II、QUARTUS II、ispEXPERT、FOUNDATION等)及实验开发系统为设计工具,自动完成用软件方式设计的电子系统到硬件系统的逻辑编译、逻辑分割、逻辑综合及优化、逻辑布局布线、逻辑仿真,直至对特定目标芯片的适配、编译、编程下载等工作,最终形成集成电子系统或专用集成芯片(ASIC)的一门新技术。

5、CAA技术和PCB-CAD技术不具备逻辑综合和逻辑适配的功能,因此不能称为真正意义上的EDA技术。

6、三大主流可编程器件生产公司:ALTERA、XILINX(赛灵思公司)、LATTICE(莱迪思 )

7、可编程逻辑器件特点:高集成度、高速度(并行工作方式)、高可靠性

8、硬件描述语言是EDA技术的重要组成部分,常用的有VHDL、Verilog、ABEL。

9、VHDL有1983和1987两种版本

10、EDA主流的软件开发工具:ALTERA的Max+Plus II和Quartus II; Lattice公司的ispExpert; Xilinx公司的Foundation

11、实验开发系统组成:

    基本信号发生模块:如时钟、脉冲、高低电平等

    FPGA/CPLD输出信息显示模块:如数码显示、发光管显示、声响显示等

    监控程序模块,提供“电路重构软配置”

    目标芯片适配座及其上的FPGA/CPLD芯片和编程下载电路

12、eda设计输入的三种形式:原理图输入,状态输入,波形输入

13、HDL文本编辑是最一般化,最具普遍性的输入方法。

14、综合过程就是将设计者在EDA平台上编辑输入的HDL文本、原理图或状态图形描述,

依据给定的硬件结构组件和约束控制条件进行编译、优化、转换和综合,最终获得门级电路甚至更底层的电路描述网表文件。

15、网表文件将软件描述与给定的硬件结构对应起来,使两者之间形成相应互的映射关系

16、编译器将软件程序翻译成特定的CPU机器码,不能移植;机器码只能被动的为特定的硬件电路结构所利用(一一对应、机械式的“翻译”行为)。

    综合后的电路网表文件不依赖任何硬件环境,可以独立的存在,轻易的移植到ASIC、FPGA等硬件环境中;非机械性的翻译,而是根据设计库、工艺库和预先设置的各类约束条件,选择最优的方式完成电路结构(相同的VHDL描述,综合器可用不同的电路结构实现,具有能动性和创造性)

17、eda设计过程过程中的三种仿真:vhdl仿真,功能仿真,时序仿真。

18、适配器也称结构综合器,它的功能是将由综合器产生的网表文件配置于指定的目标器件中,使之产生最终的下载文件,如JEDEC、Jam格式的文件。适配所选定的目标器件(FPGA/CPLD芯片)必须属于原综合器指定的目标器件系列。

19、逻辑综合通过后必须利用适配器将综合后网表文件针对某一具体的目标器件进行逻辑映射操作,其中包括底层器件配置、逻辑分割、逻辑优化、逻辑布局布线操作。适配完成后可以利用适配所产生的仿真文件作精确的时序仿真,同时产生可用于编程的文件。

20、通常,将对CPLD的下载称为编程(Program),对FPGA中的SRAM进行直接下载的方式称为配置(Configure)。

21、FPGA/CPLD的工作设计流程:原理图/VHDL文本编辑,综合(逻辑综合),适配(结构综合),时序与功能仿真,编程下载,硬件测试。

22、与软件描述语言相比,VHDL设计的程序不依赖于特定的硬件环境,可移植。

23、EDA技术使得设计者的工作仅限于利用软件的方式,即利用硬件描述语言和EDA软件来完成对硬件系统功能的实现。

24、自顶向下设计步骤:设计说明 建立vhdl行为仿真模型 vhdl行为仿真 vhdl-rtl级建模 前端功能仿真 逻辑综合 测试向量生成 功能仿真 结构综合 门级时序仿真 硬件测试 设计完成。
 

上一篇: C语言深入浅出 :回味经典 下一篇: 常用EDA设计与仿真软件介绍

分享 举报