-
《Verilog HDL设计与实战》详细介绍了Verilog HDL的语法知识,典型的FPGA设计实例,基于QSYS的NIOS II开发及自定义组件与指令的操作。 目录如下: 第1章 ModelSim仿真工具与Quartus II开发工具的基本操作 第2章 Verilog HDL的简要介绍 第3章 Verilog HDL的数据对象 第4章 V
-
《基于VHDL的FPGA与NIOS_II实例精炼》配套源代码与视频整理 下面是《基于VHDL的FPGA与NIOS_II实例精炼》配套源代码的下面地址,网友如果看不懂的可以购书配合学习效果更好: http://115.com/file/cl04av3o # 《基于VHDL的FPGA与NIOS_II实例精炼》第五章代码.rar http://115.com/file/cl04avrd # 《基于
-
《基于VHDL的FPGA与 NIOS II实例精炼》配套 视频 文件 与 代码 文件 下载 下载地址: http://edafarm.qjwm.com/folder-908388
-
Altera培训资料2009年(为期三天有实例代码) 下面是上海ALTERA培训相关资料,如果打不开, 请把下载地址复制到地址栏去。 第一天: 静态时序分析基本原理和时序分析模型 http://yunfile.com/file/edafarm/3a996c0f/ 使用Quartus II Timequest时序分析器约束分析设计 http://yunfile.com/
-
VHDL文档资料一 一些VHDL的相关文档,对想学习VHDL的网友应该有所帮助。 VHDL.Programming.by.Example http://yunfile.com/file/edafarm/098aad97/ VHDL应用于专用集成电路功能仿真的研究 http://yunfile.com/file/ed
-
深入浅出玩转 FPGA 视频学习课程 深入浅出玩转 FPGA 视频学习课程 , 对想学 FPGA 的网友有所帮助。 Lesson 1: 课程概述与如何学好 FPGA http://yunfile.com/file/edafarm/441692c6/ Lesson 2: 可编
-
学习电子电路的好资料(视频与仿真软件) 下面包括电子电路仿真软件软件与电路一些常用的电路分析,是学习电子电路的好资料,看后一定会有收获的。 A - 参考文献 http://yunfile.com/file/edafarm/76e070c1/ B - 安装
-
于博士CADENCE视频教程 教程定位: 零基础快速入门教程,实实在在为初学者着想。 远离学院派式的教育,从工程师的角度解决工程师遇到的问题。 教程目标: 致力于解决 Cadence 软件入门难的问题。 轻松学习,快速上手,最大限度降低 Cadence 软件学习的时间成本。 教
-
VHDL 测试平台设计 _ 文件的操作 本文讨论 VHDL 测试平台设计中的文件操作,文件操作的好处是可以将测试结果以文件的形式进行保存以便日后观。本文的设计实体功能是实现 8 位的加法器,代码如下: library ieee; use ieee.std_logic_1164.all; use
-
VHDL 测试平台设计_ 4 位计数器 VHDL 设计实体,它是一个带低有效复位的 4 位计数器: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity counter is port(rst_n : in std_logic;
按照发布时间排序