登录站点

用户名

密码

水牛EDA的日志

水牛EDA的主页 » TA的所有日志
按照发布时间排序
  • 《Verilog HDL设计与实战》详细介绍了Verilog HDL的语法知识,典型的FPGA设计实例,基于QSYS的NIOS II开发及自定义组件与指令的操作。       目录如下: 第1章 ModelSim仿真工具与Quartus II开发工具的基本操作 第2章 Verilog HDL的简要介绍 第3章 Verilog HDL的数据对象 第4章 V
    分类: FPGA|1176 次阅读|没有评论
  • 7
    《基于VHDL的FPGA与NIOS_II实例精炼》配套源代码与视频整理   下面是《基于VHDL的FPGA与NIOS_II实例精炼》配套源代码的下面地址,网友如果看不懂的可以购书配合学习效果更好: http://115.com/file/cl04av3o # 《基于VHDL的FPGA与NIOS_II实例精炼》第五章代码.rar http://115.com/file/cl04avrd # 《基于
  • VHDL 测试平台设计 _ 文件的操作 本文讨论 VHDL 测试平台设计中的文件操作,文件操作的好处是可以将测试结果以文件的形式进行保存以便日后观。本文的设计实体功能是实现 8 位的加法器,代码如下: library ieee; use ieee.std_logic_1164.all; use
    分类: FPGA|1145 次阅读|没有评论
  • VHDL测试平台设计_4位计数器

    VHDL 测试平台设计_ 4 位计数器 VHDL 设计实体,它是一个带低有效复位的 4 位计数器: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity counter is port(rst_n : in std_logic;
    分类: FPGA|1425 次阅读|没有评论
  • 1
    学习 FPGA 的条件 随着 FPGA 芯片功能不断强大,它在电子设计领域应用越来越广泛,越来越多的网友想学习与应用 FPGA ,那学习 FPGA 需要哪些条件呢?下面我简单介绍下我的个人看法,仅供参考。 需要有一台电脑,电脑的配置要达到 FPGA 开发软件最低要求。简单的说就是配置不能
    分类: FPGA|841 次阅读|没有评论
  • " 望文生义 " 介绍 FPGA FPGA 是英文 Field Programmable Gate Array 的首字母缩写,中文翻译为现场可编程逻辑阵列 。 首先我们来看字母 'G' ,从字面上翻译它是门,即逻辑门。可以理解为与门,或门,非门等简单的逻辑门,进而引申为硬件资源,可以是更复杂的复接器,
    分类: FPGA|428 次阅读|没有评论
  • 最近在做二次群的实现,大部分都解决了,发现时钟平滑还真有意思。 怎么弄,都有点问题。
    分类: FPGA|519 次阅读|没有评论
  • 仿真与验证真是不一样,一些程序仿真好像没有问题,一下下载配置就出现问题,所以对于想FPGA的网友,一定要有个开发板来验证你的开发与设计。这样才能学得快学习得深。
    分类: FPGA|645 次阅读|没有评论
  • 分享

    FPGA下载不了

    水牛EDA 2010-04-21 14:56
    FPGA下载不了很有可能是电源或是连接的问题,应尽量少怀疑芯片坏了。现在的FPGA电源有很多种,应该查看手册有哪几种,分别都接对了没。??
    分类: FPGA|614 次阅读|没有评论
  • 做工程时,一般都是先做仿真,再下载验证。实验时总会出现一些奇怪的问题的,其实这些问题都是有原因的,就是在你的代码身上。你的模块划分是否合理,代码是否优化?总是要不断是地实验才能得到相对正确的程序。
    分类: FPGA|561 次阅读|没有评论
 19 12