登录站点

用户名

密码

水牛EDA的日志

水牛EDA的主页 » TA的所有日志
按照发布时间排序
  • 1
    学习 FPGA 的条件 随着 FPGA 芯片功能不断强大,它在电子设计领域应用越来越广泛,越来越多的网友想学习与应用 FPGA ,那学习 FPGA 需要哪些条件呢?下面我简单介绍下我的个人看法,仅供参考。 需要有一台电脑,电脑的配置要达到 FPGA 开发软件最低要求。简单的说就是配置不能
    分类: FPGA|841 次阅读|没有评论
  • " 望文生义 " 介绍 FPGA FPGA 是英文 Field Programmable Gate Array 的首字母缩写,中文翻译为现场可编程逻辑阵列 。 首先我们来看字母 'G' ,从字面上翻译它是门,即逻辑门。可以理解为与门,或门,非门等简单的逻辑门,进而引申为硬件资源,可以是更复杂的复接器,
    分类: FPGA|428 次阅读|没有评论
  • 最近在做二次群的实现,大部分都解决了,发现时钟平滑还真有意思。 怎么弄,都有点问题。
    分类: FPGA|519 次阅读|没有评论
  • 仿真与验证真是不一样,一些程序仿真好像没有问题,一下下载配置就出现问题,所以对于想FPGA的网友,一定要有个开发板来验证你的开发与设计。这样才能学得快学习得深。
    分类: FPGA|645 次阅读|没有评论
  • 让惩罚与奖赏一样激励人 水牛   上次出差回来,在火车上与中铺的朋友聊天,得知他是湖南三一重工的。与他聊天得知了三一重工的一些管理方法,其中让我印象比较深是他们的奖惩多而且及时。他说,他们的奖惩是即时的,直接上级及以上(以下统称上级)都有申请奖惩的权力与义务。比如说,上
    分类: 综合杂项|581 次阅读|没有评论
  • 参加工作后学习就变很懒,看书不能集中精神。做题没有以前的耐性。总总泛泛地看,也许是浮躁的影响。但是不会这样了,不能去想太多的未来,好好做好现在的事才是重要。静下心来好好学习,天天向上。
    分类: 综合杂项|536 次阅读|没有评论
  • 分享

    FPGA下载不了

    水牛EDA 2010-04-21 14:56
    FPGA下载不了很有可能是电源或是连接的问题,应尽量少怀疑芯片坏了。现在的FPGA电源有很多种,应该查看手册有哪几种,分别都接对了没。??
    分类: FPGA|614 次阅读|没有评论
  • 做工程时,一般都是先做仿真,再下载验证。实验时总会出现一些奇怪的问题的,其实这些问题都是有原因的,就是在你的代码身上。你的模块划分是否合理,代码是否优化?总是要不断是地实验才能得到相对正确的程序。
    分类: FPGA|561 次阅读|没有评论
  • 6
                                                     
  • 1
    我在机器上先后安装了 Quartus II9.0和Cadence15.7之后,发现Cadence的大部分功能均无法使用,报错说找不到MSVCR90.dll之类,但是之前却没出现过。 在经过数天搜索及测试后,发现问题出在环境变量中,具体解决方案为将环境变量中名称为Path的变量的参数值中有关于QuartusII9.0的那一段内容挪到所有有关于Cadence的内容后面
    分类: 综合杂项|2119 次阅读|没有评论