-
-- ledcmp.vhd --周立功的开发板EasyFPGA030用VHDL写的程序,已经调试通过; --本程序和LED.vhd相比,采用了component结构 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity ledcmp is port(clk: in std_logic;  
-
-- LED.vhd --周立功开发板EasyFPGA030的LED历程改写(语言:VHDL),已经在开发板上验证 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity LED is port (clk: in std_logic; rst: in s1087 次阅读|没有评论
-
--周立功的开发板EasyFPGA030上的例程MUX_2的改写(语言:VHDL) -- mux_2.vhd library ieee; use ieee.std_logic_1164.all; entity mux_2 is port(sel: in std_logic; indat: in std_logic_vector(1 downto 0);
-
这是在周立功的开发板EasyFPGA030上调试通过的比较器 -- comparator.vhd library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity comparator is port(x: in std_logic_vector(1 downto 0); &
按照发布时间排序