登录站点

用户名

密码

数字电视信道编码

已有 2180 次阅读  2009-09-25 16:22   标签数字电视  信道编码 

数字电视信道编码
1  数字电视信道编码技术

     1.1   信道编码简介

一个完整的数字电视系统,在从信源至接收的全过程中,对数字电视信号进行的编码包括信源编码、信道编码以及加密与解密,其中信源编码与信道编码是对数字电视信号进行处理的重要步骤,信源编码在前面章节中已经详细介绍过,本章详细介绍信道编码,而加密与解密则主要用于数字电视条件接收系统,它是数字电视的一大重要特征,其目的是为了实现数字电视的有偿服务机制,使授权用户能够得到所需要的数字电视节目及其服务,从而保证数字电视运营系统的良性循环。

信道编码又称为纠错编码,是指将数字电视信号进行编码处理,以使编码后的传送码流与信道传输特性相匹配,其根本目的是为了提高信息传输的可靠性,即提高数字电视系统的抗干扰能力。信道编码是数字通信区别于模拟通信的显著标志,其主要实现方法是通过增大码率或频带,即增大所需的信道容量。这一点恰好与信源编码为适应存储及信道传输要求而进行压缩码率或频带而相反。

典型的数字电视系统结构如图4-1所示。由图4-1可知,在发送端,数字电视节目源(主要由视频、音频等数据组成)先经过信源编码处理,得到压缩编码后的视频、音频码流,随后进行信道编码,这里需要辅助数据与控制数据的支持。信道编码实现检错、纠错功能,以提高数字电视传输信号的抗干扰能力,以使之适应信道传输特性,再进行载波调制以实现频谱搬移,最后送入传输信道。经载波调制后的数字电视信号送入传输信道中进行传输,传输途径主要包括地面开路广播、有线广播、卫星广播三种方式。在接收端,信号处理流程与发送端正好相反,先进行载波解调,然后是信道解码、信源解码,以还原出数字电视视频、音频节目信息,最后送入数字电视显示设备将图像与伴音等信息呈现给数字电视用户。

数字电视信号在传输过程中将受到信道加性噪声、乘性噪声以及多径衰落等干扰因素的影响,虽然与模拟通信系统相比,数字通信系统具有较强的抗干扰能力,但当干扰较大时仍然可能发生信息失真(Information Distortion)、并出现误码,其中地面开路广播在数字电视三种传输方式中由于信道特性最为恶劣、传输难度最大,因而失真与误码也最为严重。要减少失真与误码,必须提高信噪比,由于信道带宽及信号功率均受到限制,信噪比的提高也受到限制,因此必须进行纠错编码,以进一步提高传输系统的可靠性。只要信号传输过程中出现的失真与误码在一定限度之内,接收端就能正确解调出来,从而保证了信息传送的正确性。

 


传输信道是数字电视信号的物理传输通道,其特性将直接影响信源编码与信道编码的效果。信道容量通常有限,电视信道的带宽按照各个国家的不同规定有6 MHz、7 MHz与8MHz三种,在这有限的带宽中如何能够实现传送更多的比特,即提高信号传输的有效性是系统设计者必须考虑的重要问题,这属于信源编码研究的范畴。此外,还必须考虑信号的正确传送,即信号传输的可靠性问题,这属于信道编码研究的范畴。有效性与可靠性是信号传输中的一对矛盾,有效性以信息传输速率来衡量,由于传输信道有不同的带宽,因此有效性可用“谱效率”来衡量,即每赫兹能够传送多少信息速率,可靠性通常用误比特率ph与误码元率Ps来表示,具体为:Ph=错误比特数/传送总比特数,ps=错误码元数/传送总码元数。

数字电视系统对信道编码技术有以下要求:

·  编码效率要高、抗干扰能力要强;

·  对传输信号应有良好的透明性,即传输通道对于传输信号的内容不加限制;

·  传输信号的频谱特性应与传输信道的通频带有最佳的匹配性;

·  编码信号内应包含有数据定时信息与帧同步信息,以便接收端能够准确解码;

·  编码的数字信号应具有适当的电平范围;

·  发生误码时,误码的扩散蔓延小。

以上要求可概括为以下两点:一是通过附加一些数据信息以实现最大的检错纠错能力,这将涉及到差错控制编码的原理及特性;二是数据流频谱特性适应传输信道的通频带特性,以使信号能量经由通道传输时损失最小,这将涉及到数字信号序列的频谱形成技术,即传输码型选取及转换。此外,应该明确:任何信道编码技术的检错、纠错能力都在一定限度之内,当信道中干扰很严重、传输误码超出一定限度时,信道编码系统将无法纠正这些错误。

数字电视系统信道编码技术主要包括纠错编码技术、数据交织技术、网格编码技术、均衡技术等,它们可提高数字电视信号的抗干扰能力,再利用调制技术即可将数字电视信号放在载波或脉冲串上,从而为信号发射做好准备。必须清楚,信道编码的实质是寻找适合数字电视信号在相应传输信道中的安全传输模式,使经过信道编码后的数字码流能够匹配信道传输特性、减少误码与差错。因此,信源编码以后的所有编码措施,包括扰码、交织、卷积等都可以划分到信道编码的范畴,由此可构造出信道编码结构框图,如图4-2所示。


1.2  差错控制系统

差错控制系统实现两部分功能:即差错控制编码与差错控制解码,其中差错控制编码是指在信源编码数据的基础之上增加一些冗余码元(又称监督码元),使监督码元与信息码元之间建立一种确定关系,而差错控制解码是指在接收端,根据监督码元与信息码元之间已知的特定关系,来实现检错及纠错。在数字通信系统中,利用纠错检错码进行差错控制的基本方式大致可分为以下三类:前向纠错(FEC)、反馈重发(ARQ)与混合纠错(HEC)。

    1.前向纠错(FEC,Forward Error Correction)

信息在发送端经纠错编码后送入信道,接收端通过纠错解码自动纠正传输中的差错,这种方式称为前向纠错,其基本原理及基本结构分别如图4-3、图4-4所示,前向表示差错控制过程单向,不存在差错信息反馈。前向纠错具有无需反向信道、时延小、实时性好等优点,它既适用于点对点通信,又适用于点对多点组播或广播式通信,其缺点是解码设备比较复杂、纠错码必须与信道特性相匹配、为提高纠错性能必须插入更多监督码元致使码率下降。最为关键的一点是:FEC纠错能力有限,当差错数大于纠错能力时就无法纠正,而且出现这种情况时系统没有任何指示,收信者无法判断差错是否已经纠正,因而EEC通常不用于数据通信,而用于容错能力较强的语音、图像通信,它在数字电视领域应用广泛。随着编码理论与大规模集成电路技术的不断成熟,性能优良的实用编解码方法不断涌现,编解码器件成本不断降低,前向纠错的应用已从语音、图像扩展到计算机存储系统、磁盘、光盘、激光唱机等存储领域。


 2.反馈重发(ARQ,Automatic Repeat Request)
发送端发送检错码,接收端通过解码器检测接收码组是否符合编码规律,从而判决该码是否存在传输差错,若判定码组有错,则通过反向信道通知发送端重发,如此反复直至接收端认为正确为止,这种方式称为反馈重发,其基本原理和基本结构分别如图4-5和图4-6所示。ARQ系统有两类:一类是等待式,即发送端每发一码字或一帧,就停下来等待接收端回音,回音分ACK(认可)与NAK(有差错)两种,发送端如收到ACK反馈信息则继续发送下一帧,收到NAK则重发上一帧;另一类是连续式,对帧或码字进行顺序编号后连续发送,接收端对所有帧的正确与否按顺序号给出反馈回音,发端根据回音决定重发与否。其中连续式效率高,但接收端的帧序有可能颠倒,它要求更大的缓存空间、更复杂的电路设备、时延也较大。



ARQ的优点是编解码设备简单,在冗余度一样的情况下,检错码的检错能力比纠错码的纠错能力要高许多。通过采用ARQ可大大降低整个系统的误码率,其中应用最为成功的是分组交换公用数据网(PSPDN,Packet Switching Public Data Network),它可用误码率为l0-6的PCM物理信道构建出符合数据通信要求的误码率为10-9的数据网,可靠性极高。此外,ARQ系统检错码的检错能力与信道干扰基本无关,因此系统适应性强,特别适用于短波、散射以及多种信道混合而成的通信网中。ARQ广泛应用于数据通信网,如计算机局域网、分组交换网、7号信令网等,其缺点是需要一条反馈信道来传输回音,并要求收发端均装备有大容量存储器以及复杂的控制设备。ARQ是一种自适应系统,由于反馈重发次数与信道干扰密切相关,当信道误码率很高时,重发将过于频繁而使效率大为降低,甚至使系统出现阻塞,此外信息传输的连贯性与实时性也较差,因而信道的高速特性会使节点ARQ处理成为瓶颈。随着光纤通信技术的广泛应用,传输误码率大为降低,反馈重发的必要性已经不很明显,因此,从帧中继(FR, Relay)到异步转移模式(ATM,Asynchronous Transfer Mode)、再到多协议标签交换(MPLS,Multi-ProtocolLabel Switching),现代高速网络已经不再采用反馈重发,而是仅在节点处作检错运算,如果发现分组有错,网络就丢弃分组,而把协商重发的任务移交给终端去处理。

3.混合纠错(HEC,Hybrid Error Correction)

混合纠错是前向纠错与反馈重发二者的结合,发送端发送的码字兼具有检错及纠错两种能力,接收端解码器收到码字后首先校验错误情况,如果差错不超过误码纠错能力,则自动进行纠错,如果差错数量已超出误码纠错能力,则接收端通过反馈信道给发送端一个要求重发的信息,其基本原理和基本结构分别如图4-7和图4-8所示。HEC性能及优缺点介于EEC与ARQ之间,误码率低、设备不太复杂、实时l生与连贯性也比较好,它在卫星通信中得到了广泛应用。


由此可知,差错控制码可分为检错码与纠错码两类,前者重在发现差错,后者要求能够自动纠正差错,它们在理论上并无本质区别,只是应用场合不同而侧重的性能参数有所不同。

1.3纠错码基市理论

1.纠错码相关知识

    (1)信息码元与监督码元

信息码元是发送端由信源编码给出的信息数据比特,以K个码元为一个码组时,在二元码情况下,总共可有2k种不同的信息码组;

监督码元又称校验码元,是为了检错、纠错而在信道编码中附加的校验数据,通常,对K个信息码元的码组附加r个监督码元,组成总码元数为n=k+r的码组。
  
(2)许用码组与禁用码组

信道编码后总码长为n的不同码组有2n个,其中,发送的信息码组有2k个,称之为许用码组,其余的(2n-2k)个码组不予传送,称之为禁用码组,纠错编码的任务就是从2n个总码组中按照某种规则选择出2k种许用码组。

(3)编码效率

每个码组内信息码元数足值与总码元数n值之比称为信道编码的编码效率,即η=k/n=k/(k+r)。编码效率η是衡量信道编码性能的一个重要指标。一般来说,监督码元越多,检错、纠错能力就越强,但编码效率也相应降低。

(4)码重与码距

码重是指每个码组内码元“1”的数目;

码距是指每两个码组间的距离,通常用d表示。例如,000与101码组之间码距为d=2,000与111码组之间码距为d=3;

最小码距是指对于(n,k)分码组,许用码组为2k个,其中各码组之间的码距最小值,通常用d0表示。

(5)最小码距与检错、纠错能力的关系

对于分组码,有以下三条关于最小码距与检错、纠错能力的关系,如图4-9所示。

·  在一个码组内为了检知e个误码,要求最小码距应满足d0≥e+1;

·  在一个码组内为了纠正t个误码,要求最小码距应满足d0≥2t+1;

·  在一个码组内为了纠正t个误码并同时检知e个误码(e>t),最小码距应满足


2.纠错码分类

根据信道噪声干扰的性质,可将差错分成以下三类:

·  随机错误:它由信道中的随机噪声干扰所引起,由于噪声的随机性,因而误码的发生相互独立,不会出现成片错误;

·  突发错误:它由突发噪声干扰引起,如电火花等脉冲干扰,会使差错成群出现通常用突发持续时间与突发间隔时间分布来描述;

·  混合错误:既包括随机错误又包括突发错误,因而既会出现单个错误,也会出现成片错误。

与差错种类相对应,可对纠错码进行分类,每一类又可按照其他划分标准进一步进行细分,如图4-10所示。

 


按照适用的差错类型可划分为纠随机差错码和纠突发差错码两种(也有介于二者之间的纠随机/突发差错码)。

   ·  纠随机差错码(Random Error Correction Code):其设计目标是纠随机差错,纠错能力用码组或码段内允许的独立差错个数来衡量;

   ·  纠突发差错码(Burst Error Correction Code):其设计目标是纠突发差错,纠错能力用可纠突发差错的最大长度来衡量。

   按照对信息序列的处理方法,纠错码可划分为分组码和卷积码。

   ·  分组码(Block Code):将信息序列每k位分为一组,编码器对每组的k位信息按照一定规律产生r个校验位(监督元),输出长度为n=(k+r)的码字,每一码组的(n-k)个校验位仅与本码组的七个信息位有关,而与其他码组的信息无关;

   ·  卷积码(Convolutional Code):编码器给每%位信息加上(no-k0)位校验后得到长度为n0的码字,与分组码不同,该码字的编码运算不仅与本段k0位信息有关,而且还与位于其前面的m组k0位信息有关,称这种码为(n0,k0,m)卷积码。

   按照校验位与信息位的关系,纠错码可划分为线性码与非线性码:

  ·  线性码(Linear Code):校验元是信息元的线性组合,编码器不带反馈回路;

  ·  非线性码(Nonlinear Code):校验元与信息元不满足线性关系,由于非线性码的分析比较困难,因而早期实用的纠错码多为线性码,但目前发现,具有优良性能的码很多恰好是非线性码。

按照构码理论,纠错码可划分为代数码、几何码、算术码、组合码等:

代数码的理论基础是近世代数、几何码的理论基础是投影几何、算术码的理论基础是数论与高等算术、组合码的理论基础是排列组合和数论。 

此外,按照每个码元取值类型可划分为二进制码与多进制码,按照码字之间的相互关系可划分为循环码和非循环码。不同的分类方法只是从不同角度抓住码的某一特性加以归类而已,并不能说明某个码的全部特性。比如某线性码可能同时又是分组码、循环码、纠突发差错码、代数码、二进码,此外,上述纠错码分类也可以进一步细化,把大类再分割成许多小类,比如纠突发错误码可再细分为纠随机突发差错码、纠单向差错码、纠同步差错码等。

例如,奇偶校验码就是一种最为简单的线性分组检错码,其构造方法是将信源编码后的信息数据流分成等长码组,然后在每一信息码组之后加入Z位监督码元作为奇偶校验位,使得码组总码长n内的码重为偶数(称为偶校验编码)或奇数(称为奇校验编码),其中n等于信息码元数与监督码元数之和,即n=k+l如果在传输过程中,一个码组内发生一位或奇数位误码,接收端解码出的码组便不符合奇偶校验规律,即存在误码,这种编码由于最小码距d0=2,故无纠错能力。水平垂直奇偶校验码则是在水平奇偶校验码的基础之上增加一个码元而构成,这样一来,在接收端就既可检出任何一行或任何一列内的奇数个误码,而且可纠正一位误码,因为当阵列中某个码元发生误码时,从其所在的行与列的奇偶校验中可发现它,将行与列交叉点上的码元变成反码,该误码即被纠正,其编码效率为η=k/n=49/164=76.6%。水平奇偶校验码与水平垂直奇偶校验码如表4-1所示。

 


3.纠错码性能评估

模拟通信中最关键的质量指标是信噪比,数字通信中最关键的质量指标则是误码率,纠错编码的目的是降低误码率,因此评价某个编码方案的优劣,就是考察一定条件下编码前后误码率性能的改善程度。纠错编码中所指的误码率,在讨论传输时通常指误码元率,在分析性能时通常指误比特率,二者有密切联系,但不是同一概念,在二进制传输条件下,误码率就是误比特率,而在多进制传输条件下,二者不相同。通常采用误比特率Rb(e)作为衡量传输质量的标准,而以pb(e)~Eb/N0。曲线来估计纠错码性能,其中Eb代表传送每比特信息所需的能量,N0代表单边带噪声功率谱密度,Eb/N0代表信噪比,一般用dB表示,而误比特率定义为


  如果以最大速率即信道容量C来传递信息,每传输1比特信息所需的能量为Eb,总的信号功率是

式中,C/W代表归一化的信道容量,即每Hz带宽的信道容量,为说明归一化信道容量C/W与所需信噪比Eh/No的关系,将式(4-3)对数改为指数并写为以下形式


  当C/W→∞时,式(4-4)右边分子分母增幅比是指数,说明随着信道容量增加,对信噪比Eb/N0的要求按照指数上升;

     当每赫传1比特(C/W=1 bit/Hz)时,Eb/No=1,即要求的信噪比为0 dB;

     当信道容量为零,即C/W→0时,利用对数公式和极限公式x≈ln(1+x)∣x→o,有

式(4-5)说明,当Eb/N0为-1.6 dB时,信道完全丧失了通信能力,因而将-1.6 dB称为香农限,其含义是:以码率等于信道容量的最大速率通信时,通过编码可降低对信道信噪比的要求,但最低也不可能低于香农限;反之,只要信道信噪比大于香农限,就可以通过码长N→∞的编码来实现无差错的信息传输,香农限为编码性能分析提供了一个理论极限。
纠错码的性能不但与编码方法有关,而且与解码方法有关,通常以最大似然解码作为比较标准,为了研究纠错编码本身的特性,通常摒弃一切可能影响分析的其他因素,当改变编码方案、编码参数时,就可得到仅与编码方案、参数有关的ph(e)~Eh/N0曲线。
Ph(e)~Eh/N0曲线体现了各种编码“绝对”的性能,但就编码研究而言,最感兴趣的是不同编码方案下特性的改善量,因此引入了编码增益,它专门用来描述变化的相对量,它是在一定误比特率条件下两种编码(或与不编码相比)所要求的信道信噪比之差。在不同的误比特率R(e)条件下,编码增益也不同,实用通信系统的误比特率在10-3~10-6之间,因而人们特别关注这个范围内编码效果的好坏,以一定Ph(e)下的编码增益来衡量,编码增益一般在几dB之内。
1.4 RS编码技术
RS码由Reed和Solomon两位研究者发明,故称为里德一所罗门(Reed-Solomon)码,简称RS码,它是广泛应用在数字电视传输系统中的一种纠错编码技术。RS码以字节为单位进行前向误码纠正(FEC,Forward Error Correction),它具有很强的随机误码及突发误码纠正能力。
从结构上看,RS码是一种码元长度为n、信息位长度为k的(n,k)型线性分组码,其中分组码是指在k位信息码元的后面按编码规则附加r位校验码元而构成码长为n的码字,并用(n,k)表示,而线性分组码是指分组码中的校验码元与信息码元之间满足线性变换关系。在纠错编码中,码字距离、特别是码字最小距离,是衡量一种码抗干扰能力大小的标准,码字最小距离越大,说明任何两个码字之间的最小差别越大,抗干扰能力越强。在所有的线性分组码中,RS码的汉明距离最大,因此RS码纠错能力最佳。
RS编码是一种非常有效的块编码技术,与其他以单个码元为基础的块编码技术不同,RS码以码组为基础,码组又称为符号,RS码只处理符号,即使符号中只有一个比特出错,也认为是整个符号出错。在RS(n,k)编码中,输入信号分成km比特一组,每组包括k个符号,每个符号由m比特组成,因此总码长n=k+r个符号,共有k个信息符号、r个监督符号,最小码距d0=2t+1个符号,RS码能够纠正t=r/2个符号的错误,通常一个可纠错t个误码字节的RS码可表示为(n,k,t)。
在DVB系统中,信道编码采用(204,188,t=8)的RS码,即n=204字节,k=188字节,即每188个信息符号要用16个监督符号,总码元数为204个符号,m=8比特(1字节),监督码元长度为2t=16字节,纠错能力为一段码长为204字节内的8个字节,此RS码的长度在原理上应为n=2m-1=255字节,实施上述RS编码时,先在188字节前加上51个全0字节,组成239字节的信息段,然后根据RS编码电路在信息段后面生成16个监督字节,即得到所需的RS码。
   1.5  数据交织技术
RS码具有强大的抵御突发差错的能力,但对数据进行交织处理,则可进一步增强抵御能力,数据交织是指在不附加纠错码字的前提下,利用改变数据码字传输顺序的方法,来提高接收端去交织解码时的抗突发误码能力,通过采用数据交织与解交织技术,传输过程中引入的突发连续性误码经去交织解码后恢复成原顺序,此时误码分散分布,从而减少了各纠错解码组中的错误码元数量,使错误码元数目限制在RS码的纠错能力之内,然后分别纠正,从而大大提高了RS码在传输过程中的抗突发误码能力。
数据交织技术纠正突发误码的原理如图4-1l所示。由图4-11可见,mn个数据为一组,按每行n比特,共m行方式读入寄存器,然后以列的方式读出用于传输,接收端把数据按列的方式写入寄存器后再以行方式读出,得到与输入码流次序一致的输出,由此实现了交织与解交织。当在传输过程中出现突发差错时,差错比特在解交织寄存器中被分散到各行比特流中,从而易于被外层的FEC纠正。在上述数据交织中,每行的比特数n被称为交织深度,交织深度越大则抗突发差错能力就越强,但交织的延迟时间也越长,因为编解码都必须将数据全部送入存储器后才能开始,ATSC标准中交织深度为52,DVB-T标准中交织深度为12。


 

数据交织技术在数字电视信道编码中应用广泛,例如在数字电视有线传输系统中,为提高系统抗干扰能力,必须进行RS编码,但是信道突发干扰会造成连续码元错误,会超出RS编码的纠错能力,致使大量误码无法纠正。在这种情况下,必须使用数据交织技术来对抗突发差错,以使错误码元能够分散分布,使错误码元数量控制在RS编码纠错范围之内,再利用RS编码技术进行纠错。由于有线信道质量较好,可不必采用内码卷积编码,其信道编码方案是RS外码编码+数据交织,如图4-12所示。而在地面传输信道中,必须采用RS外码编码+数据交织+内码卷积编码的信道编码方案。


 1.6  卷积编码技术

卷积编码又称内码或循环码,它是一种非分组码,其前后码字或码组之间有一定约束关系。在数字电视信道编码系统中,卷积编码是RS编码与数据交织的有效补充,当信道质量较差时,通常采用RS码与卷积码相级联的形式作为信道编码方案,如图4-13所示,卷积编码器可有k0个输入,n0个输出,通常k0<n0,且皆为小整数。在任意给定的时间单元内,编码器的no个输出不仅与本时间单元的k0个输入有关,还与前面m个输入单元有关,一个典型的(2,1,2)卷积编码器结构如图4-14所示。

 



由图4-14可见,(2,1,2)卷积编码器有一个输入、两个输出,即k0=1、no=2,并通过开关使并行变串行输出,其中有两个移位寄存器D1、D2及三个模二加法器,寄存器数为m=2,因而此(no,k0=0,m)卷积编码器是(2,1,2)卷积编码器,其任一输出码组与前后3个码组相关,即约束度N=3。通常,约束度Ⅳ等于移位寄存器数目加1,即N=m+1。
若将输入序列di=(11010)输入到图4-14中电路中,则寄存器的状态及编码输出为(di-2、di-1初始状态均为00):di-1=(11010000),di-2=(01101000),输出端的编码关系为:C1=di  di-1  di,C2=di   di-2其中   表示异或。
若输出为:C1=(C11,C12,…,C1n)=(10001100),C2=(C21,C22,…,C2n)=(11100100),则卷积码的输出序列为:C(C11C21,C12C22,…,C1nC2n):(1101010010110000)。
在卷积编码过程中,为保证全部数据通过寄存器,应在输入数据di后面加3个“0”,故输入数据从5位变成8位,输出卷积码也由8组组成。从上述分析可看出,每一位数据影响(m+1)=3个输出码字,这就是此编码器的约束度。由于输入1个码元,输出2个码元,则有约束关系的最大码元长度为(m+1)no=6位,这称为编码约束长度。
卷积码的解码可分为代数解码与概率解码两大类,代数解码方法完全基于其代数结构,利用生成矩阵和监督矩阵来解码,大数逻辑解码也是代数解码方法。概率解码还利用了信道统计特性,因此能用增加解码约束长度来减少解码的错误概率。概率解码比较实用的有两种方法:即序列解码与维特比(Viterbi)解码,其中维特比解码在数字电视信道编码中应用非常广泛,其解码过程如下:
·  按照格状图从起始状态开始计算每个路径相应的输出码元与接收码元之间的汉    明距离及路径总汉明距离;
    ·  在一定周期后,选取汉明距离小的路径作为候选路径,并继续扩展,候选路径可能为1条,也可能有多条,主要由总汉明距离决定,但一般到达同一状态的       多条路径只选留1条;
    ·  到最后一个周期时,最后状态必须要回到起始状态,对于那些汉明距离小但不        能到达起始状态的路径全部删除。
维特比解码分为硬判决解码与软判决解码两种,若解调器输出给解码器的是二元信号,称为硬判决解码,此时解码器中信号之间的差别用汉明距离表示;当解码器输出的是多电平信号时,称解调器为软判决解码,此时解码器中信号之间的差别用欧氏距离表示。软判决充分利用接收信号的信息,比硬判决性能优越,但实现难度也较大,数字电视接收中针对卷积码解码,主要采用维特比软判决的解码。
1.7 Turbo编码技术
Turbo码是一种基于广义级联码的新型纠错编码,它代表着纠错编码技术的重大进展,其编码端由两个或更多的卷积码并行级联而成,译码端采用基于软判决信息输入/输出的反馈迭代结构,其理论性能已经非常接近于香农信道编码的极限。
传统信道编码采用串行级联码结构,如图4-15所示,其基本特征是由两个子码即内码与外码级联而成,这两个子码取自不同的域并通过交织器串接而成,其中内码主要用于检错及判别错误位置,并纠正少量错误,外码则主要用于纠错,即通过外码的译码来纠正内码未能纠正的全部错误,这些差错可能具有独立的或突发差错的统计性质。在接收端,首先是信道解调,然后依次进行内码译码、外码译码。


串行级联码的性能也是简单级联,即取决于内码的输出误码率和外码的纠错能力之级联,由于软判决译码比硬判决译码从理论上要好2 dB,因此,采用维特比软判决译码的卷积码作为纠错编码内码。在数字电视系统中,通常采用RS编码、卷积编码、TCM编码的串行级联码作为信道编码方案,在接收端再采用软判决的维特比算法,当信道误码率小于10-5时,这种方案可使传输质量大为改善,但是当信道误码率为10-4甚至10-3以上时,采用这种纠错编码方案则不会使系统性能发生明显改善,在一定程度上反而浪费了传输效率。

随着纠错编码技术的发展与完善,软输出译码算法被提出,它使利用译码简单的卷积码作为级联码外码成为可能,并可对内码进行软译码输出、为外码提供软判决输出,从而可进一步改善信道编码性能,然而,这种性能改善依然是外码的软译码输出特性与内码的输入输出信噪比特性的级联。使用并行级联卷积码通过对外码也进行软译码输出,并反馈到内码译码,可更进一步提高其性能,其典型结构如图4-16所示,其中开关单元是为调整总编码速率而设置,即通过对两次编码的校验序列进行节选和复接,以便调整实际的校验位数,其基本工作原理是:两个码可以交替地互不影响地译码,并通过系统码信息位的软判决输出相互传递信息进行迭代译码。重要的是两个码之间经过交织处理后,用于解一段连续码符号的反馈信息分别来源于前一次译码的分散的码符号,交织长度满足使相邻反馈符号的相关性降低到最小的条件,此时只要从反馈符号似然信息中去除已用过的关于该符号本身的部分,消除正反馈,即可实现迭代译码。

Turbo码编码器结构如图4-17所示,D是寄存器,其基本编码过程是:未编码的数据信息即输入信息流u=(u1...,uN)直接进入编码器1,同时,未编码信息流u经交织后进入编码器2。此后的过程与图4-16类似。Turbo码译码器结构如图4-18所示,它采用一种称为迭代译码的全新译码思想。在图4-18中,xk为信息符号序列,zk为外信息,Y1k和Y2k为校验序列,译码器1和译码器2都采用软输出译码算法,且译码器2的软输出信息经解交织后反馈至译码器1,其目的是去除已用过的本支路输出符号中的自身信息,从而准确地无误实现判决译码。


总之,Turbo码在信道编码领域中发展潜力很大,其优越性能主要体现在:

·  TurtHD码使用了软输入与软输出信息,它相对于硬比特信息更能准确传递信息;

·  Turbo码使用迭代译码,因而能够充分利用码元符号的内含信息,这是Turbo码    译码中的关键组成,每一次迭代都将输出一个更可靠的结果;

·  Turbo码编解码中使用了交织器,交织器大小影响着Turbo码的差错性能,它使进入另一个子编码器的信息序列去相关,其结果是各个子译码器可以彼此独立地进行译码,使得软判决信息可以相互利用,判决结果也因此逐渐准确,使Turbo码译码性能远远好于其他类型的译码器。

但是,Turbo码也有一些不足,编解码器中的交织器长度比较大,其延时不可忽视。此外,减少迭代次数可大大缩短译码延时,但会带来译码性能下降。

1.8网格编码调制(TCM)技术

网格编码调制(TCM,Trellis Coding Modulation)是指将多电平、多相位调制技术与卷积纠错编码技术相结合,采用欧式距离进行信号空间分割,在一系列信号点之间引入依赖关系,仅对某些信号点序列允许可用,并模型化为格状结构。TCM技术的本质是在频带受限的信号中,在不增加信道传输带宽的前提下,将编码技术与调制技术相结合,以实现进一步降低误码率。

为便于理解,将通常的数字传输系统与采用TCM的传输系统进行对比,它们分别如图4-19和图4-20所示。由图4-20可见,在TCM系统中,内编码采用卷积编码,其输出符号序列经映射器后输出至数字调制器,使符号序列映射到信号空间,使产生的路径之间的最小欧氏距离最大。与通常的数字传输系统相比,采用TCM调制技术的传输系统降低了对系统工作信噪比的要求,同时采用外码RS编码,可进一步降低系统误码率,从而提高系统抗干扰能力。


在网格结构中,通常把信号点之间的距离称为欧氏距离,其中最小欧氏距离是影响差错率的重要因素,当编码调制后的信号序列经过一个加性高斯白噪声(AWGN,Additional White Gussian Noise)信道后,可用维特比算法寻找最佳网格状态路径,并以最小欧氏距离为准则,得出接收信号序列。经过TCM调制后的信号具有以下特点:

   ·  在没有增加传输带宽的情况下,信号空间中所用信号点的数目比无编码调制情况下多,这些附加的信号点为纠错编码提供了冗余度;

   ·  采用卷积编码规则,使相继的信号点之间引入某种依赖关系,仅有某些信号点序列允许使用,并可将这些信号模型序列化为网格状态。

  1.9级联编码技术

   数字电视系统由于采用卫星传输、有线传输、地面无线传输三种方式进行单向广播,因而只能采用正向纠错编码技术(FEC)进行纠错编码。由于实际的传输信道非常复杂,不同信道的质量差别也较大,因此所采用的纠错编码技术也不尽相同,数字电视信道编码的关键技术主要是RS编码技术、卷积编码技术、Turbo编码技术、数据交织技术、TCM技术等。实际的信道编码系统通常采用级联编码技术,即采用两级纠错编码来实现高性能,其解码系统也不复杂。级联编码系统如图4-2l所示。由图4-21可见,编码部分主要由外编码、交织、内编码三部分组成,解码部分则由内解码、解交织、外解码三部分组成。级联编码系统的各部分需要联合设计,以使整个系统性能能够满足数字电视卫星广播、数字电视有线广播及数字电视地面广播的需要。

 


级联码在加性白高斯噪声环境中能够提供较强的纠错能力,目前阶段,网格编码是用做内编码的最合适、最通用的选择,可采用1/2、3/4或7/8形式,外码编码则一致看好RS(Reed Solomon)码。在接收端用Viterbi解码器作为内码,常会输出一串误码,长度为10~15比特,RS解码器很适合纠正这种短脉冲形式的突发误码,在内码与外码之间需要交织,因为从Viterbi解码器输出的误码经解交织后能够跨越两个或更多的RS码,RS解码器对不相关符号内的误码更容易纠正。

    1.10   ATSC系统信道编码技术

ATSC信道编码与传输系统如图4-22所示。由图4-22可见,ATSC系统采用数据随机化、RS编码、数据交织、网格编码等技术作为信道编码方案。

 1.数据随机化
数据随机化又称能量扩散,其目的是分散TS码流分组中可能出现的长“1”与长“0”,使频谱主要能量向上移动,避免信号在低频段频谱上有较大能量,以适应信道传输特性。
2.RS编码
ATSC采用RS(207,187,t=10)编码,其中k=187符号,m=8比特,监督码元为2t=20字节,其纠错能力为一段码长为207个字节码元中的10个字节。RS码长原理上应为n=28-1=255个字节,实施RS编码时,在187个字节前加上48个全0字节,组成235个字节的信息码元,再根据RS编码电路在信息码元后生成20个监督字节,即得到所需RS码。
3.数据交织
ATSC系统中的数据交织为字节交织,交织深度为52,交织深度越大,抗突发误码能力就越强。
4.网格编码调制(TCM)
在信道编码中,为充分提高抗误码的纠错能力,通常采用两级级联FEC编码,其中RS编码属于第一个FEC,在187字节后附加20字节,构成RS(207,187)码,这称为外编码;第二个附加纠错码的FEC采用卷积编码,又称为内编码。外编码与内编码结合在一起,称为级联编码,级联编码后得到的数据流再按规定调制方式对载频进行调制。
    (1)TCM编码原理
ATSC系统中的内编码是将卷积编码与调制技术结合在一起的网格编码调制(TCM),它可在不增加信道带宽及不降低信息速率下获得3至4dB的编码功率增益,TCM编码有助于提高抗随机噪声干扰的能力,其工作原理如图4-23所示。


由图4-23可见,输入X2、X1是数据交织器串行数据流输出经串/并变换后的两路并行数据流,每对X2、X1代表一个符号,有4种状态。由梳状滤波器构成的预编码器的作用是减弱与NTSC信号之间的同频干扰,y2直接通过网格编码器后标记为Z2,Y1经过编码效率为1/2的卷积编码后输出ZiZo比特对,形成4个电平状态的符号集合(00、01、10、11),电平正负由Z2值确定,从符号映射器表格中可看到映射关系。

由此可见,原来X2、X1的4电平状态经TCM编码后变成了Z2Z1Z0的8电平状态,对载频采用平衡调幅方式时,如果是X2、X1原来的4电平,已调制载波可有±1、±3、±5、±7共8种不同的振荡波。因而,TCM编码后只是使一定幅度的调制载波的幅度分级数目加倍、级差减半,并不影响已调制载波的信息速率及所需的信道带宽。虽然级差缩小后,已调波幅度易受杂波干扰而造成接收端解码误差的可能性加大,但在接收端的TCM解码中,依靠TCM编码具有加强纠错能力的特性,总效果是解码差错降低。

(2)网格编码交织器

网格编码器对于脉冲干扰和突发误码,其抗御性能并不高,为改善抵抗性能,采用12个同样的网格编码器并行工作,组成网格编码交织器,如图4-24所示。由图4-24可见,ATSC系统采用段内符号交织,每段由828个符号组成,其中的(0,12,24,36,…)符号作为第一组,(1,13,25,37,…)符号作为第二组,(2,14,26,38,…)符号作为第三组。依此类推,共12组符号分别进行网格编码。

 


1.11 DVB系统信道编码技术

DVB系统按照传输信道可分为DVB-T、DVB-C、DVB-S三类,其信道编码与传输系统分别如图4-25、图4-26、图4-27所示。输入端是视频、音频和数据等复用的TS流,每个TS分组包由188个字节组成,在信道编码部分,DVB-T、DVB-C、DVB-S在以下几方面处理方法相同:数据加扰、外码编码(RS编码)、外交织(交织深度为12)、内码编码(卷积收缩编码),这有利于编解码设备的生产制造及信号处理。

 



1.复用适配与能量扩散

为便于信号传输及接收端恢复数据,需要对输入码流进行随机化处理,即加扰,以使能量扩散。输入TS流是188字节的TS分组包,每个TS分组包的第一字节是SYNC同步字节,数值为47rmx(01000111)。在DVB-T中,先将每8个TS分组包形成一个TS大分组包,之后再对输入码流进行随机化处理,以实现能量扩散,数据流随机化方案及传输包的随机化处理分别如图4-28和图4-29所示。加扰器采用15个移位寄存器构成的发生器,即利用伪随机二进制序列(PRBS,Pseudo Random Binary Sequence)实现,其生成多项式G(x)=1+x14+x15,每隔第一个TS大包初始化一次。为区别初始化点,TS大包中第一个TS包的同步字节被取反码即B8HEX(10111000),随机序列发生器从取反的同步字节后开始作用,经过8×188-1=1503字节=12024比特后,又重新初始化,其余7个TS包的同步字节虽然参与运算,但输出仍取0X47,实现中利用使能信号切断与门,使这些同步字节不被加扰。



2.外码编码

外码编码采用截短的RS编码(204,188,t=8),它由RS(255,239,t=8)作用于188个字节的传输包,后面加入51个全“0”字节,然后截短得到,如图4-30所示。


3.外交织

RS编码后采用以字节为单元的交织,称为外交织,它使数据流具有抗突发干扰能力,其中交织深度为12。

4.内码编码(卷积收缩编码)

    (1)基本卷积码

内码编码与外码编码相结合,构成了DVB-T中的级联编码,它增强了前向纠错能力,有利于抗御地面开路信道恶劣的传输环境,内码编码采用(2,1,7)卷积码,即1个信息比特生成2个编码比特,约束长度Ⅳ为7比特。DVB-T中采用的(2,l,7)基本卷积码电路结构如图4-31所示,其中输入数据流来自外交织器,每输入一个比特生成X、Y两比特,编码效率较低,为η=1/2,其优点是纠错能力强。


(2)卷积收缩码

当传输信道质量较好时,为提高编码效率,可采用收缩截短卷积码。DVB中给出了多种编码效率的收缩卷积码,如表4-2所示,其中编码效率包括77=1/2、2/3、3/4、5/6、7/8五种情况,η越高则一定带宽内可传输的有效比特率就越大,但纠错能力也越差。


由于任何信道编码系统的检错纠错能力都是有限的,因而当信道中干扰很严重、传输误码超出一定限度时,信道编码系统将无法纠正差错。针对这种情况,DVB系统采用了两级纠错编码机制以进一步提高系统抗干扰能力,它将传输信道在内一起视为一个传输链路,其中处于外层的纠错编码被称为外层纠错编码,而处于内层的纠错编码被称为内层纠错编码,内层纠错编码首先对传输误码进行纠正,对于不能纠正的误码,外层纠错编码将进一步纠正,两层纠错编码大大提高了系统纠错能力。实践证明:如果内层纠错编码能将传输误码纠正到10-3的水平,即平均每1000个传输数据流中存在1个误码,则经过外层纠错编码后,误码率一般可降至10-5的水平;如果内层纠错编码能将传输误码纠正到10-4的水平,即平均每10000个传输数据流中存在1个误码,那么经过外层纠错编码后,误码率一般可降至10-8的水平。

DVB系统外层纠错通常采用里德一所罗门(RS,Reed Solomon)码,内层纠错则采用卷积码。其中内层卷积纠错编码具有很强的纠错能力,但当出现无法纠正的误码时,这种误码常常呈现连续分布形式,称为误码扩散。此外,传输信道中的一些强烈冲激噪声也会使卷积解码后的码流中出现连续误码,当这些连续误码落在一组外层RS码中,就可能超出RS码的纠错能力而造成信息失真。为避免这种情况,在两层纠错编码之间增加了数据交织,它通过改变信号传输顺序,使连续误码分散到多组RS码中,因而落在每组RS码中的误码数量将大为减少,只要不超出RS码纠错范围,就能将其纠正过来。可见,数据交织通过使连续错误分散化,从而提高了系统纠错能力,它对冲激噪声的纠错能力非常明显。

1.12 ISDB系统信道编码技术

ISDB-T传输系统基本结构如图4-32所示,它主要由再复用、信道编码、调制、传输与复用配置控制几部分组成。信道编码部分的具体结构如图4-33所示,其中外编码采用RS码,即RS(204,188)截短码,按照分层需要,经过外编码的TS包要按照相应层次分离开,空包将被去除。经分层后,使用伪随机码对数据进行能量扩散,不同层在使用不同调制方式时,它们在做字节交织和解交织时的延时不一样,为解决这一问题,ISDB要求在发送端字节交织前进行延时调整。字节交织方法如图4-34所示,分支数为12,交





上一篇: 数字电视调制技术 下一篇: 数字电视的一些标准

分享 举报