登录站点

用户名

密码

系统任务$stop、 系统任务 $finish、Disable(命名块的禁用)

已有 410 次阅读  2012-04-30 13:06   标签系统  命名 

系统任务$stop、 系统任务 $finish、Disable(命名块的禁用)

Disable(命名块的禁用)

Verilog通过关键字disable提供了一种中止命名块执行的方法。Disable可以用来从循环中退出、处理错误条件以及根据控制信号来控制某些代码段是否被执行。对块语句的禁用导致紧接在块后面的语句被执行。对于C程序员而言,这一点非常类似于break退出循环。两者的区别在于break只能退出当前循环,而使用disable则可以禁用设计中的任意一个命名块。

调试用系统任务和常用编译预处理语句

系统任务 $finish

格式:$finish;$finish(n);

系统任务$finish的作用是退出仿真器,返回主操作系统,也就是结束仿真过程。任务$finish可以带参数,根据参数的值输出不同的特征信息。如果不 带参数,默认$finish的参数值为1.    

0            不输出任何信息;

1            输出当前仿真时刻和位置

2            输出当前仿真时刻、位置和在仿真过程中所用memory以及cpu时间的统计。

系统任务$stop

格式:$Stop; $Stop(n)

$Stop(n)的任务的作用是把EDA工具(例如仿真器)置成暂停模式,在仿真环境下给出交互式的命令提示符,将控制权交给用户。这个任务可以带有参数表达式。根据参数值(012)的不同,输出不同的信息。参数值越大,输出的信息越多。

上一篇: Memory Interface Grouping Assignment 下一篇: about 形式化验证技术

分享 举报