首页
站内导航
帮助
欢迎您
登录
|
注册
登录站点
用户名
密码
记住我
杨明训的动态
杨明训的主页
»
TA的近期动态
2010-08-13
菜单
杨明训
发表了新日志
08-13 15:51
(
评论
)
1
如何为Allegro批量更新Symbol版本(转贴)
如何为Allegro批量更新Symbol版本 2009/03/31 22:47 Allegro是PCB Layout行业中使用最为广泛的一种EDA工具,但是工作中你经常会发现,
2010-03-09
菜单
杨明训
发表了新日志
03-09 17:15
(
评论
)
9
嵌入式汇编语言的用法
嵌入式汇编语言的用法 1. 使用关键字嵌入式汇编语言的用法 1.1 使用 __asm 方法 在
菜单
杨明训
发表了新日志
03-09 17:15
(
评论
)
1
用typedef重新定义数据类型
关键字 typedef ,定义方法如下: Typedef 已有的数据类型 新的数据类型名; 其中“已有的数据类型
菜单
杨明训
发表了新日志
03-09 17:13
(
评论
)
1
枚举变量的定义与引用
枚举变量的定义与引用 ANSI C 新标准增加了枚举类型,如果一个变量只有几种可能的值,可以将其定义为枚举类型,所谓“枚举”就是将变量的值
菜单
杨明训
发表了新日志
03-09 17:13
(
评论
)
1
结构变量的定义和引用
结构是一种构造类型的数据,它是将若干个不同类型的数据变量有序的组合在一起而形成的一种数据的集合体。组成该集合体的各个数据变量称为结构成员,整个集
2010-03-04
菜单
杨明训
发表了新日志
03-04 12:54
(
评论
)
10
喔,我中奖了!
看到我中奖了 ,很让人高兴!
2010-02-11
菜单
杨明训
发表了新日志
02-11 14:28
(
评论
)
2
Scatter文件
Scatter 文件 Scatter 文件是一个文本文件,它可以用来描述 ARM 连接器生成映像文件时需要的信息。具体来说,在 scatter 文件中可以
2010-02-05
菜单
杨明训
发表了新日志
02-05 10:52
(
评论
)
1
ARM汇编语言伪指令
ARM 汇编语言伪指令 ARM 中伪指令不是真正的 ARM 指令或者 THUMB 指令,这些伪指令在汇编编译器对源程序进行汇编处理时被
2010-01-29
菜单
杨明训
发表了新日志
01-29 15:40
(
评论
)
1
汇编器的应用(基于ADS1.2)
汇编器的应用 ( 基于 ADS1.2) ADS1.2 汇编器具有两种工作方式:一是命令行方式;二是图形工作方式。这里着重讨论图形工作方
菜单
杨明训
发表了新日志
01-29 15:39
(
评论
)
1
ARM汇编程序规则
ARM 处理器有两个指令集- ARM 指令集和 Thumb 指令集, ARM 汇编程序规则比较简单,因为在汇编程序中,汇编语句和指令代码是一一对应的。
2010-01-19
菜单
杨明训
发表了新日志
01-19 10:21
(
评论
)
4
比尔盖茨经典语录
生活是不公平的,要去适应它。 Life is not fair, get used to it. 世界并不会在意你的自尊。这世界指望你在自我感觉良好之前先要有所成就。
2010-01-13
菜单
杨明训
发表了新日志
01-13 13:38
(
评论
)
2
Identify工具分析(整理Actel周立功公司的影像文件)
Identify 工具分析(整理 Actel 周立功公司的影像文件) 1. Identify 概述 Identify 是 Synplicity 公司出
2010-01-07
菜单
杨明训
发表了新日志
01-07 11:45
(
评论
)
2
覆铜经验
所谓覆铜,就是将PCB上闲置的空间作为基准面,然后用固体铜填充,这些铜区又称为灌铜。敷铜的意义在于,减小地线阻抗,提高抗干扰能力;降低压降,提高电源
2010-01-05
菜单
杨明训
发表了新日志
01-05 14:20
(
评论
)
1
AT91SAM9260-EK中的电路设计注意事项
1.音频AT73C213芯片的直流电源串接4.7uH电感,另接一个10uF/10V胆电容,AT73C213芯片的地和系统地之间接0欧姆电阻; 2.AT91SAM9260-BGA217的供电电路是典型
2009-12-30
菜单
杨明训
发表了新日志
12-30 09:25
(
评论
)
7
PCB工程师试题-附答案(某公司招聘试题)
PCB 工程师试题 - 附答案(某公司招聘试题) 一 . 填空 1.PCB 上的互连线按类型可分为 _ 微带线 _ 和带状线
2009-12-29
菜单
杨明训
发表了新日志
12-29 08:29
(
评论
)
2
我该怎样处理幅度变化极大的信号呢?
问题: 我该怎样处理幅度变化极大的信号呢? 回答: 使用对数放大器。 测量小的东西很容易,测量大的东西也不难;但是,
2009-12-23
菜单
杨明训
发表了新日志
12-23 11:41
(
评论
)
2
问题: 王传福:从山寨大王到中国首富-转贴
问题: 王传福:从山寨大王到中国首富 一个月不到,王传福将福布斯中国首富和胡润百富榜首富双双揽入怀中。在听多了金融危机让富豪们财富缩水的
菜单
杨明训
发表了新日志
12-23 10:05
(
评论
)
1
嵌入式系统的软件延时设计
嵌入式系统的软件延时设计 嵌入式系统的软件延时一般分为两种模式:一是通过循环空操作,即查询模式,二是通过中断的方式。实践证明,在第一种模式
2009-12-18
菜单
杨明训
发表了新日志
12-18 11:49
(
评论
)
4
我终于有了一个不是我老公的男人!--老婆的感言
我终于有了一个不是我老公的男人! 那晚,他折腾了足足一个小时 , 终于倦极而眠。我却怎么也睡不着了 , 时针指在两点上
2009-12-17
菜单
杨明训
发表了新日志
12-17 16:48
(
评论
)
9
磁罗盘设计-HMR3300反设计方案(原)
磁罗盘设计-HMR3300反设计方案(原) 一. 设计思想 根据 HMR3300 的布局布线,选定元器件;其中传感器不
2009-12-16
菜单
杨明训
发表了新日志
12-16 14:40
(
评论
)
1
唐骏:毕业5年决定你的命运 (ZT)-转贴
唐骏的演讲,真是牛。最近又看到一段他的件文,确实对新人的职业发展有很大的帮助,转发过来,供大家学习参考。 正如"打工皇帝"唐
2009-12-15
菜单
杨明训
发表了新日志
12-15 15:30
(
评论
)
10
电子工程师常笔试题目-编辑转贴
1、 基尔霍夫定理的内容是什么?(仕兰微电子) 基尔霍夫电流定律是一个电荷守恒定律,即在一个电路中流入一个节点的电
2009-12-14
菜单
杨明训
发表了新日志
12-14 11:46
(
评论
)
2
电路设计中,电阻标值为0欧姆的电阻的作用
电路设计中,电阻标值为 0 欧姆的电阻的作用 是什么? 一般有如下应用: 1. 在电路中没有任何功能,只是在 PCB 上为了调试方便或兼
2009-11-27
菜单
杨明训
发表了新日志
11-27 08:16
(
评论
)
1
网友发的PWM波形生成器转载
--beinghu2网友发的PWM波形生成器,我没有在周立功的开发板EasyFPGA030上验证,我认为这个编程有其优势: --可以生成随输入量PWM_in变化而正脉宽变化的波形
2009-11-25
菜单
杨明训
发表了新日志
11-25 14:32
(
评论
)
7
了解数据转换器错误及参数-AD转换设计中的基本问题整理
了解数据转换器错误及参数 1.如何选择高速模数转换之前的信号调理器件;如何解决多路模数转换的同步问题? ADC之前的信号调理,最根本的原则就是信号调理引起的
2009-11-06
菜单
杨明训
发表了新日志
11-06 12:28
(
评论
)
1
FPGA一个简单的桶移位寄存器在周立功的开发板EasyFPGa030验证过
library ieee; use ieee.std_logic_1164.all; entity barrel_shift is port(din: in std_logic_vector(0 to 31); &n
2009-10-30
菜单
杨明训
发表了新日志
10-30 10:57
(
评论
)
1
本程序和LED.vhd相比,采用了component结构周立功的开发板EasyFPGA030用VHDL写的程序
-- ledcmp.vhd --周立功的开发板EasyFPGA030用VHDL写的程序,已经调试通过; --本程序和LED.vhd相比,采用了component结构 library ieee; use ieee.std_log
菜单
杨明训
发表了新日志
10-30 08:38
(
评论
)
2
周立功的开发板EasyFPGA030上的例程MUX_2的改写(语言:VHDL)
--周立功的开发板EasyFPGA030上的例程MUX_2的改写(语言:VHDL) -- mux_2.vhd library ieee; use ieee.std_logic_1164.all; entity mux_2 is
搜索用户
高级搜索
|
可能认识的人
|
邀请好友
技术论坛
中电网首页
电子百科
中电微博